HomePhabricator

Arc lint everything

Description

Arc lint everything

Summary:
Fix a couple cppcheck issues (uninitialized variables from
key_io.cpp) and a missing newline for clang-format.

Test Plan:

arc lint --everything

Reviewers: #bitcoin_abc, majcosta

Reviewed By: #bitcoin_abc, majcosta

Differential Revision: https://reviews.bitcoinabc.org/D7030

Details

Provenance
FabienAuthored on Jul 24 2020, 13:57
FabienPushed on Jul 24 2020, 15:39
Reviewer
Restricted Project
Differential Revision
D7030: Arc lint everything
Parents
rABC5563627c6fcd: [backport#15530] doc: Move wallet lock annotations to header
Branches
Unknown
Tags
Unknown